Synopsys Jobs
1 - 15 of 42
Search Results - Synopsys Jobs
Honeywell-Plymouth (MN)
Designs)
• Present and participate in project phase gate reviews
• Prepare Documentation
• Mentor junior engineers
Tools We Use:
• Synopsys Design Compiler
• Synopsys PrimeTime
• Synopsys DFT Compiler...
Unreal Gigs-San Jose
and simulate analog circuits, including operational amplifiers, voltage regulators, oscillators, and phase-locked loops (PLLs), using simulation tools such as Cadence Virtuoso or Synopsys HSPICE.
Mixed-Signal Design: Integrate digital and analog circuits...
resume-library.com -
Honeywell-Plymouth (MN)
analysis, and/or power analysis
• Direct experience with Synopsys toolset (ICC2, PrimeTime, Lynx) is a plus
• In-depth knowledge of logic synthesis and functional verification, and how constraints are used throughout the design flow
• Strong background...
LanceSoft, Inc.-San Diego
Principal Duties & Responsibilities :
• Implement Hierarchical blocks of the DDR/system cache subsystem running the physical synthesis with Synopsys Fusion Compiler.
• Work with RTL designers on managing complex power intent
• Manage timing...
mindmatch.ai -
Honeywell-Plymouth (MN)
analysis, and/or power analysis
• Direct experience with Synopsys toolset (ICC2, PrimeTime, Lynx) is a plus
• In-depth knowledge of logic synthesis and functional verification, and how constraints are used throughout the design flow
• Strong background...
Calsoft Labs-Westford (MA)
and preferably possess knowledge of Synopsys Black Duck Software Composition Analysis (SCA) and related technologies.
Responsibilities:
1. Provide guidance, technical and procedural knowledge as to implement and maintain Secure Software Development Life Cycle...
resume-library.com -
TALENT Software Services-Redmond (WA)
of hands-on experience with DFT and test flow with commercial EDA tools (Synopsys, Mentor) for large and complex SoCs.
• Strong fundamental knowledge of DFT techniques include JTAG, ATPG, test pattern translation, yield learning, logic diagnosis, Scan...
mindmatch.ai -
Leidos-San Diego
with DSP fundamentals and FPGA implementation
• Experience with Matlab/Simulink
• Experience with microchip EDA tools and flows (Synopsys, Cadence, etc.)
• Microelectronics hardware subject matter expertise in at least (1) of the areas listed below...
6 similar jobs: La Jolla, Rancho Santa Fe, El Cajon, Chula Vista, Cardiff By The Sea...
Ampcus Incorporated-Santa Clara
Role : DFT Engineer
Location: Santa Clara (US:95054), CA
Qualification/Experience/Skills Required
• 10+ years of hands-on experience with DFT and test flow with commercial EDA tools (Synopsys, Mentor) for large and complex SoCs.
• Strong...
resume-library.com -
Leidos-Petersburg (VA)
for lab testing
Preferred Qualifications
• Masters degree in Electrical or Computer Engineering
• Experience with DSP fundamentals and FPGA implementation
• Experience with Matlab/Simulink
• Experience with microchip EDA tools and flows (Synopsys, Cadence...
1 similar job: Arlington
Analog Photonics-Boston
and test
• Electromagnetic simulations in FDTD, Modesolvers, etc. (e.g. Lumerical/Synopsys)
• Mask layout in Cadence Virtuoso
• Taping out photonic devices in a foundry setting (full reticle or MPW) with complex layout and DRC
• Photonic component testing...
mindmatch.ai -
Leidos-Arlington (VA)
/Simulink
• Experience with microchip EDA tools and flows (Synopsys, Cadence, etc.)
• Microelectronics hardware subject matter expertise in at least (1) of the areas listed below AND experience in (1) additional area listed below:
• Microelectronics...
1 similar job: Petersburg
Unreal Gigs-San Jose
Design and simulate analog circuits, including operational amplifiers, voltage regulators, oscillators, and phase-locked loops (PLLs), using simulation tools such as Cadence Virtuoso or Synopsys HSPICE.
4. Mixed-Signal Design: Integrate digital and analog...
workable.com -
Square Inc.-Boston
experience with BSEE or Applied Science degree; 8+ years in combination with a MS degree
Expert in using Synopsys ICC2/Fusion Compiler and PrimeTime
Understanding of the full design cycle from RTL to GDSII, including chip level
Prior experience with custom...
appcast.io -
LanceSoft Inc-San Diego
the physical synthesis with Synopsys Fusion Compiler.
" Work with RTL designers on managing complex power intent
" Manage timing constraints
" Trouble shoot upf issues in synthesis
" Run Conformal Low Power Checks on final netlist and resolve clp violations...
resume-library.com -
123
Don’t miss out on new job openings!
Create a job alert for: Synopsys
It's free, and you can cancel email updates at any time
123